最新消息:20210816 当前crifan.com域名已被污染,为防止失联,请关注(页面右下角的)公众号

ABOUT 1T-SRAM

工作和技术 crifan 2165浏览 0评论

ABOUT 1T-SRAM

MoSys’ patented 1T-SRAM technology offers a combination of high density, low power consumption, high speed and low cost unmatched by other memory technologies. The single transistor bit cell used in 1T-SRAM technology achieves much higher density than traditional four or six transistor SRAMs while using standard logic manufacturing processes. No changes are required to standard logic processes when implementing the 1T-SRAM embedded memory. 1T-SRAM technology also offers the familiar, refresh-free interface and high performance for random address access cycles associated with traditional SRAMs. In addition, this technology can reduce operating power consumption by a factor of four compared with traditional SRAM technology, contributing to making it ideal for embedding large memories in System on Chip (SoC) designs. 1T-SRAM technology is in high volume production in SoC products from MoSys’ licensees. The high density of 1T-SRAM memory results in dramatic silicon area savings and manufacturing yields that are much higher than traditional six transistor memories. The high yield is further enhanced by built-in redundancy.

转载请注明:在路上 » ABOUT 1T-SRAM

发表我的评论
取消评论

表情

Hi,您需要填写昵称和邮箱!

  • 昵称 (必填)
  • 邮箱 (必填)
  • 网址
79 queries in 0.166 seconds, using 22.08MB memory